Intermolecular HPC Platform for Semiconductor R&D

Intermolecular® has developed a unique approach to meeting R&D challenges in the semiconductor and clean energy industries. This new methodology is based on the company’s proprietary HPC™ platform. The HPC platform accelerates cycles of learning by conducting dozens or hundreds of simultaneous experiments. These are integrated with non-combinatorial techniques, as well as advanced metrology and informatics software tools for analysis of the experimental data.

FEOL, BEOL and full physical characterization services

Purpose-built hardware and informatics software accelerate the discovery of new materials, integrated processes, and device structures by 10-100 times compared to standard approaches. Intermolecular also has state-of-the-art, automated metrology systems for a variety of uses.

Our capabilities include full physical characterization, an automated e-test infrastructure for comprehensive front-end-of-line (FEOL) and back-end-of-line (BEOL) electrical, parametric, and device reliability characterization. All processing, metrology and e-test tools are linked together through the Tempus™ informatics system.

Custom workflows for rapid semiconductor development

Every project begins with an analysis of our customer’s unique technical challenges. We then apply the HPC technology to develop a customized workflow to allow rapid exploration of a wide range of possible solutions. In this way we can identify robust manufacturing solutions with optimal performance, and strong competitive advantage – all in a fraction of the time required by traditional methods.

In summary, development based on the HPC platform provides:

  • Faster cycles of learning
  • Smart experimentation
  • Ability to explore a broad material or process space
  • Multiple experiments per wafer
  • Efficient resource utilization

Collaborative semiconductor development

Intermolecular typically works with customers through project-oriented collaborative development programs (CDPs). These programs minimize capital investment, while delivering considerable economic value through the use of differentiated HPC technology. CDP customers get new and improved processes for device production, along with control over intellectual property. Intermolecular creates device processing solutions and unique intellectual property (IP), so our customers can bring better products to market faster.

The most effective collaboration models include development partners from all relevant sectors of the supply chain, including IC manufacturers, clean energy companies and their respective suppliers of materials and equipment. Close collaboration, along with shared R&D costs and aligned incentives for success, creates open lines of communication and new levels of R&D efficiency and effectiveness.

Our multidisciplinary team of engineers, scientists, and technologists, working together in our state-of-the-art development center, allows us to cover the entire span of semiconductor development – from materials discovery all the way through scale-up to high-volume manufacturing. Through close collaboration with our customers, we solve difficult technical problems while simultaneously reducing risk and maximizing return on development expenses.

 

"Intermolecular" and the Intermolecular logo are registered trademarks; and "HPC" and "Tempus" are trademarks of Intermolecular, Inc.; all rights reserved.

Make an enquiry

Address
Intermolecular

3011 North First Street

San Jose, CA 95134

United States of America

+1 408 582 5700 www.intermolecular.com

Intermolecular Images

Products and Services

Video

White Papers

Related Projects



Press Release

Regional Offices

Intermolecular

3011 North First Street

San Jose, CA 95134

United States of America

+1 408 582 5700 www.intermolecular.com
Close
Close
Close